ARM
Arm’s Solutions group DFT team implements DFT for SOC for client, datacenter, automotive, and IOT line of business using the latest DFT and process technologies. We closely collaborate with Arm’s partners and internal RTL, Verification, Physical Implementation, and Test engineering teams throughout the life cycle of a project, from an early investigation stage all the way through tape-out and silicon test/characterization on ATE.
We are currently hiring across
three locations :
San Jose ,
Austin , and
San Diego .
Responsibilities:
Architect DFT solutions for SOC catering to multiple line of business Coordinates DFT requirements across SOC, IP and product teams. Implement, and validate innovative DFT techniques on SOCs and sub-systems. Insert DFT logic into SoC as well as sub-system level and validate all DFT features using industry standard simulation tools. Work closely with multi-functional teams to support DFT RTL level insertion, synthesis and scan insertion, place-and-route, and static-timing-analysis and timing closure. Participate in ATE targeted test patterns, validation and silicon- debug Work closely Test and product engineering teams on silicon characterization and validation. Required Skills and Experience :
This role is for a Principal DFT Architect with 12+ years of confirmed experience in Design for Test proven experience handing DFT architecture for complex SOCs in leading technology nodes. Core DFT skills considered crucial for this position should include some of the following: Siemens DFT tools, Streaming Scan Network (SSN), Scan compression and insertion, Memory BIST and repair scheme implementation, Logic BIST, JTAG/IJTAG, at-speed test, ATPG, fault simulation, DFT mode timing constraints, back-annotated gate level verification, silicon debug, memory and scan diagnostics. Experience with 2.5D and 3D test Experience coding Verilog RTL, TCL and/or Perl. “Nice To Have” Skills and Experience :
Familiarity with SoC style architectures including multi-clock domain and low power design practices. Familiarity with Arm IP like the following: Cortex CPUs, Mali GPUs, AMBA protocols, CoreLink interconnects, CoreSight debug Background in high performance design, implementation and timing convergence is a plus Experience in leading datacenter SOCs is a plus. Experience with Cadence, and/or Synopsys DFT and simulation tools Ability to work both collaboratively on a team and independently. Innovative and a passion for progress Hard-working and excellent time management skills with an ability to multi-task An upbeat approach to working on ambitious projects on the cutting edge of technology Communicate effectively across teams and sites in different geographies and time zones
Our
10x mindset
guides how we engineer, collaborate, and grow. Understand what it means and how to reflect 10x in your work: https://careers.arm.com/en/10x-mindset
Salary Range:
$241,100-$326,100 per year We value people as individuals and our dedication is to reward people competitively and equitably for the work they do and the skills and experience they bring to Arm. Salary is only one component of Arm's offering. The total reward package will be shared with candidates during the recruitment and selection process.
Accommodations at Arm
At Arm, we want to build extraordinary teams. If you need an adjustment or an accommodation during the recruitment process, please email accommodations@arm.com . To note, by sending us the requested information, you consent to its use by Arm to arrange for appropriate accommodations. All accommodation or adjustment requests will be treated with confidentiality, and information concerning these requests will only be disclosed as necessary to provide the accommodation. Although this is not an exhaustive list, examples of support include breaks between interviews, having documents read aloud, or office accessibility. Please email us about anything we can do to accommodate you during the recruitment process.
Hybrid Working at Arm
Arm’s approach to hybrid working is designed to create a working environment that supports both high performance and personal wellbeing. We believe in bringing people together face to face to enable us to work at pace, whilst recognizing the value of flexibility. Within that framework, we empower groups/teams to determine their own hybrid working patterns, depending on the work and the team’s needs. Details of what this means for each role will be shared upon application. In some cases, the flexibility we can offer is limited by local legal, regulatory, tax, or other considerations, and where this is the case, we will collaborate with you to find the best solution. Please talk to us to find out more about what this could look like for you.
Equal Opportunities at Arm
Arm is an equal opportunity employer, committed to providing an environment of mutual respect where equal opportunities are available to all applicants and colleagues. We are a diverse organization of dedicated and innovative individuals, and don’t discriminate on the basis of race, color, religion, sex, sexual orientation, gender identity, national origin, disability, or status as a protected veteran.
We are currently hiring across
three locations :
San Jose ,
Austin , and
San Diego .
Responsibilities:
Architect DFT solutions for SOC catering to multiple line of business Coordinates DFT requirements across SOC, IP and product teams. Implement, and validate innovative DFT techniques on SOCs and sub-systems. Insert DFT logic into SoC as well as sub-system level and validate all DFT features using industry standard simulation tools. Work closely with multi-functional teams to support DFT RTL level insertion, synthesis and scan insertion, place-and-route, and static-timing-analysis and timing closure. Participate in ATE targeted test patterns, validation and silicon- debug Work closely Test and product engineering teams on silicon characterization and validation. Required Skills and Experience :
This role is for a Principal DFT Architect with 12+ years of confirmed experience in Design for Test proven experience handing DFT architecture for complex SOCs in leading technology nodes. Core DFT skills considered crucial for this position should include some of the following: Siemens DFT tools, Streaming Scan Network (SSN), Scan compression and insertion, Memory BIST and repair scheme implementation, Logic BIST, JTAG/IJTAG, at-speed test, ATPG, fault simulation, DFT mode timing constraints, back-annotated gate level verification, silicon debug, memory and scan diagnostics. Experience with 2.5D and 3D test Experience coding Verilog RTL, TCL and/or Perl. “Nice To Have” Skills and Experience :
Familiarity with SoC style architectures including multi-clock domain and low power design practices. Familiarity with Arm IP like the following: Cortex CPUs, Mali GPUs, AMBA protocols, CoreLink interconnects, CoreSight debug Background in high performance design, implementation and timing convergence is a plus Experience in leading datacenter SOCs is a plus. Experience with Cadence, and/or Synopsys DFT and simulation tools Ability to work both collaboratively on a team and independently. Innovative and a passion for progress Hard-working and excellent time management skills with an ability to multi-task An upbeat approach to working on ambitious projects on the cutting edge of technology Communicate effectively across teams and sites in different geographies and time zones
Our
10x mindset
guides how we engineer, collaborate, and grow. Understand what it means and how to reflect 10x in your work: https://careers.arm.com/en/10x-mindset
Salary Range:
$241,100-$326,100 per year We value people as individuals and our dedication is to reward people competitively and equitably for the work they do and the skills and experience they bring to Arm. Salary is only one component of Arm's offering. The total reward package will be shared with candidates during the recruitment and selection process.
Accommodations at Arm
At Arm, we want to build extraordinary teams. If you need an adjustment or an accommodation during the recruitment process, please email accommodations@arm.com . To note, by sending us the requested information, you consent to its use by Arm to arrange for appropriate accommodations. All accommodation or adjustment requests will be treated with confidentiality, and information concerning these requests will only be disclosed as necessary to provide the accommodation. Although this is not an exhaustive list, examples of support include breaks between interviews, having documents read aloud, or office accessibility. Please email us about anything we can do to accommodate you during the recruitment process.
Hybrid Working at Arm
Arm’s approach to hybrid working is designed to create a working environment that supports both high performance and personal wellbeing. We believe in bringing people together face to face to enable us to work at pace, whilst recognizing the value of flexibility. Within that framework, we empower groups/teams to determine their own hybrid working patterns, depending on the work and the team’s needs. Details of what this means for each role will be shared upon application. In some cases, the flexibility we can offer is limited by local legal, regulatory, tax, or other considerations, and where this is the case, we will collaborate with you to find the best solution. Please talk to us to find out more about what this could look like for you.
Equal Opportunities at Arm
Arm is an equal opportunity employer, committed to providing an environment of mutual respect where equal opportunities are available to all applicants and colleagues. We are a diverse organization of dedicated and innovative individuals, and don’t discriminate on the basis of race, color, religion, sex, sexual orientation, gender identity, national origin, disability, or status as a protected veteran.