Dexian
Overview
Title:
Hardware Design Engineer (RTL to GDS Flow) Location:
Hybrid (3days/week) - Silicon Valley, CA Pay rate:
$80-85/Hr. Responsibilities
Own and optimize RTL-to-GDSII implementation flows using Synopsys Fusion Compiler, including synthesis, placement, routing, and signoff. Develop and maintain RTLA-based power estimation and optimization flows, integrating with PrimePower RTL and design environments. Collaborate with RTL and physical design teams to define timing constraints, UPF-based power intent, and switching activity annotations for accurate power analysis. Drive methodology improvements for early RTL power estimation, scenario-based analysis, and dynamic power optimization. Support debug and convergence of synthesis flows including constraint validation, floorplan integration, and flow automation. Interface with EDA vendors (Synopsys preferred) to evaluate tool enhancements, report issues, and guide roadmap alignment. Provide training and documentation to internal teams on best practices for synthesis and power-aware design. Required Qualifications
7+ years of experience in RTL synthesis and physical implementation using Synopsys tools (Fusion Compiler, Design Compiler, PrimeTime). Strong command of RTLA and PrimePower RTL flows, including switching activity modeling and scenario-based analysis. Proficiency in scripting (TCL, Python) for flow automation and debugging. Deep understanding of timing constraints, UPF, and low-power design methodologies. Experience with Linux and bash scripting skills are preferred. Familiarity with advanced process nodes and associated challenges in timing, congestion, and power closure. Preferred Qualifications
Experience collaborating with EDA vendors on tool evaluation and runtime profiling. Exposure to dashboarding and reporting automation for synthesis metrics. Prior contributions to flow migration or tool benchmarking initiatives. Dexian is a leading provider of staffing, IT, and workforce solutions with over 12,000 employees and 70 locations worldwide. As one of the largest IT staffing companies and the 2nd largest minority-owned staffing company in the U.S., Dexian was formed in 2023 through the merger of DISYS and Signature Consultants. Combining the best elements of its core companies, Dexian's platform connects talent, technology, and organizations to produce game-changing results that help everyone achieve their ambitions and goals. Dexian's brands include Dexian DISYS, Dexian Signature Consultants, Dexian Government Solutions, Dexian Talent Development and Dexian IT Solutions. Visit https://dexian.com/ to learn more. Dexian is an Equal Opportunity Employer that recruits and hires qualified candidates without regard to race, religion, sex, sexual orientation, gender identity, age, national origin, ancestry, citizenship, disability, or veteran status.
#J-18808-Ljbffr
Title:
Hardware Design Engineer (RTL to GDS Flow) Location:
Hybrid (3days/week) - Silicon Valley, CA Pay rate:
$80-85/Hr. Responsibilities
Own and optimize RTL-to-GDSII implementation flows using Synopsys Fusion Compiler, including synthesis, placement, routing, and signoff. Develop and maintain RTLA-based power estimation and optimization flows, integrating with PrimePower RTL and design environments. Collaborate with RTL and physical design teams to define timing constraints, UPF-based power intent, and switching activity annotations for accurate power analysis. Drive methodology improvements for early RTL power estimation, scenario-based analysis, and dynamic power optimization. Support debug and convergence of synthesis flows including constraint validation, floorplan integration, and flow automation. Interface with EDA vendors (Synopsys preferred) to evaluate tool enhancements, report issues, and guide roadmap alignment. Provide training and documentation to internal teams on best practices for synthesis and power-aware design. Required Qualifications
7+ years of experience in RTL synthesis and physical implementation using Synopsys tools (Fusion Compiler, Design Compiler, PrimeTime). Strong command of RTLA and PrimePower RTL flows, including switching activity modeling and scenario-based analysis. Proficiency in scripting (TCL, Python) for flow automation and debugging. Deep understanding of timing constraints, UPF, and low-power design methodologies. Experience with Linux and bash scripting skills are preferred. Familiarity with advanced process nodes and associated challenges in timing, congestion, and power closure. Preferred Qualifications
Experience collaborating with EDA vendors on tool evaluation and runtime profiling. Exposure to dashboarding and reporting automation for synthesis metrics. Prior contributions to flow migration or tool benchmarking initiatives. Dexian is a leading provider of staffing, IT, and workforce solutions with over 12,000 employees and 70 locations worldwide. As one of the largest IT staffing companies and the 2nd largest minority-owned staffing company in the U.S., Dexian was formed in 2023 through the merger of DISYS and Signature Consultants. Combining the best elements of its core companies, Dexian's platform connects talent, technology, and organizations to produce game-changing results that help everyone achieve their ambitions and goals. Dexian's brands include Dexian DISYS, Dexian Signature Consultants, Dexian Government Solutions, Dexian Talent Development and Dexian IT Solutions. Visit https://dexian.com/ to learn more. Dexian is an Equal Opportunity Employer that recruits and hires qualified candidates without regard to race, religion, sex, sexual orientation, gender identity, age, national origin, ancestry, citizenship, disability, or veteran status.
#J-18808-Ljbffr